Narrow your search

Library

KU Leuven (6)

ULiège (5)

VIVES (5)

AP (4)

KDG (4)

Odisee (4)

Thomas More Kempen (4)

Thomas More Mechelen (4)

UCLL (4)

ULB (4)

More...

Resource type

book (10)

digital (4)

dissertation (1)


Language

English (13)

Dutch (2)


Year
From To Submit

2020 (1)

2016 (2)

2014 (3)

2013 (1)

2011 (6)

More...
Listing 1 - 10 of 15 << page
of 2
>>
Sort by

Book
Debugging Systems-on-Chip : Communication-centric and Abstraction-based Techniques
Authors: ---
ISBN: 3319062425 3319062417 Year: 2014 Publisher: Cham : Springer International Publishing : Imprint: Springer,

Loading...
Export citation

Choose an application

Bookmark

Abstract

This book describes an approach and supporting infrastructure to facilitate debugging the silicon implementation of a System-on-Chip (SOC), allowing its associated product to be introduced into the market more quickly.  Readers learn step-by-step the key requirements for debugging a modern, silicon SOC implementation, nine factors that complicate this debugging task, and a new debug approach that addresses these requirements and complicating factors.  The authors’ novel communication-centric, scan-based, abstraction-based, run/stop-based (CSAR) debug approach is discussed in detail, showing how it helps to meet debug requirements and address the nine, previously identified factors that complicate debugging silicon implementations of SOCs. The authors also derive the debug infrastructure requirements to support debugging of a silicon implementation of an SOC with their CSAR debug approach. This debug infrastructure consists of a generic on-chip debug architecture, a configurable automated design-for-debug flow to be used during the design of an SOC, and customizable off-chip debugger software. Coverage includes an evaluation of the efficiency and effectiveness of the CSAR approach and its supporting infrastructure, using six industrial SOCs and an illustrative, example SOC model.  The authors also quantify the hardware cost and design effort to support their approach.   • Describes trends in embedded system design that make the design of SOCs complex and error-prone; • Analyzes four key requirements for debugging a modern, silicon SOC implementation and identifies nine factors that complicate meeting these debug requirements; • Uses communication control for debugging SOCs, which can be used with most on-chip SOC communication protocols in use today; • Uses communication control to (re)create a particular transaction order and demonstrates that this is helpful in the localization of errors in a SOC implementation; • Demonstrates the necessity of extracting locally- and globally-consistent states during SOC debug and guarantees by design that they are so; • Uses a fast and scalable event distribution interconnect, which connects on-chip monitors and protocol specific instruments); • Evaluates benefits and costs of the CSAR approach using six industrial SOC designs and an example SOC model.


Book
On-chip interconnect with Aelite : composable and predictable systems
Authors: ---
ISBN: 1441964967 9786612972614 1282972618 1441968652 Year: 2011 Publisher: New York : Springer,

Loading...
Export citation

Choose an application

Bookmark

Abstract

On-Chip Interconnect with aelite: Composable and Predictable Systems by: (Authors) Andreas Hansson Kees Goossens Embedded systems are comprised of components integrated on a single circuit, a System on Chip (SoC). One of the critical elements of such an SoC, and the focus of this work, is the on-chip interconnect that enables different components to communicate with each other. The book provides a comprehensive description and implementation methodology for the Philips/NXP Aethereal/aelite Network-on-Chip (NoC). The presentation offers a systems perspective, starting from the system requirements and deriving and describing the resulting hardware architectures, embedded software, and accompanying design flow. Readers get an in depth view of the interconnect requirements, not centered only on performance and scalability, but also the multi-faceted, application-driven requirements, in particular composability and predictability. The book shows how these qualitative requirements are implemented in a state-of-the-art on-chip interconnect, and presents the realistic, quantitative costs. •Uses real-world illustrations extensively, in the form of case studies and examples that communicate the power of the methods presented; •Uses one consistent, running example throughout the book. This example is introduced in the introductory chapter and supports the presentation throughout the work, with additional details given in each chapter; •Content has both breadth (architecture, resource allocation, hardware/software instantiation, formal verification) and depth (block-level architecture description, allocation algorithms, complete run-time APIs, detailed formal models, complete case studies mapped to FPGAs); •Includes numerous case studies, e.g. a JPEG decoder, set-top box and digital radio design.


Book
Memory controllers for real-time embedded systems : predictable and composable real-time systems
Authors: ---
ISBN: 144198206X 9786613350978 1283350971 1441982078 Year: 2011 Publisher: New York : Springer,

Loading...
Export citation

Choose an application

Bookmark

Abstract

  Verification of real-time requirements in systems-on-chip becomes more complex as more applications are integrated. Predictable and composable systems can manage the increasing complexity using formal verification and simulation.  This book explains the concepts of predictability and composability and shows how to apply them to the design and analysis of a memory controller, which is a key component in any real-time system. This book is generally intended for readers interested in Systems-on-Chips with real-time applications.   It is especially well-suited for readers looking to use SDRAM memories in systems with hard or firm real-time requirements. There is a strong focus on real-time concepts, such as predictability and composability, as well as a brief discussion about memory controller architectures for high-performance computing. Readers will learn step-by-step how to go from an unpredictable SDRAM memory, offering highly variable bandwidth and latency, to a predictable and composable shared memory, providing guaranteed bandwidth and latency to isolated applications. This journey covers concepts for making memories and arbiters behave in a predictable and composable manner, as well as architecture descriptions of hardware blocks that implement the concepts. Provides an overview of trends in embedded system design that make design of real-time SoCs difficult, error-prone, and expensive; Introduces the concept of predictability, which is required for formal verification of real-time systems; Introduces the concept of composability, which is a divide and conquer technique that enables performance verification per application, instead of monolithic verification for all applications together; Describes a novel approach to composability, which applies to any predictable shared resource, thus widely extending the scope of composable platforms. This is the first approach that can efficiently support SDRAM, which is an essential system component; Provides an overview of the SDRAM architecture at a level that is relevant for system designers, not memory designers, and explains why SDRAM architectures are difficult to use in real-time systems; Describes concepts, architectures, implementation and worst-case performance analysis of predictable SDRAM accesses, as well as predictable and composable memory arbitration, which can be applied to all memory types.


Book
Maria Stuart
Authors: ---
ISBN: 9789086842056 Year: 2020 Publisher: Utrecht Uitgeverij IJzer

Loading...
Export citation

Choose an application

Bookmark

Abstract

Ontroerend en verrukkelijk. Woorden die niet zijn bedoeld als vage lof. Zweig is vooral zo boeiend omdat hij een scherp en gewetensvol observator van gewoonten, zwakheden, passies en dwalingen is.The New York TimesHet leven van Maria Stuart (1542-1587) leest als een historische thriller, vol intrige en passie, allianties en politiek gekonkel. Als Maria zes dagen oud is overlijdt haar vader Jacobus V van Schotland en wordt ze koningin van Schotland. Op zeventienjarige leeftijd huwt ze Frans II van Frankrijk en wordt koningin van Frankrijk. Daarnaast maakt ze, na het verscheiden van de Engelse koningin Maria I in 1558, volgens de Franse kroonraad aanspraak op de troon van Engeland. In 1560 wordt ze weduwe en keert ze terug naar Schotland waar ze trouwt met Lord Darnley. Teleurgesteld in Darnley, met wie ze een zoon krijgt, wordt ze de minnares van de brute Lord Bothwell. Wanneer Both­well Darnley vermoordt zoekt Maria Stuart bescherming bij haar nicht en rivaal, Elizabeth I, koningin van Engeland. Zij zal Maria Stuart, die haar aanspraak op de Engelse troon nooit heeft ingetrokken, twintig jaar gevangen houden. Op 8 februari 1587 beklimt Maria Stuart met koninklijke waardigheid het schavot, waar de beul haar met drie slagen onthoofdt. Stefan Zweig (1881-1942) weet als geen ander Maria Stuart en de personen om haar heen tot leven te wekken door op zoek te gaan naar de mens achter de publieke figuren en de gevoelens achter de handelingen.


Digital
On-Chip Interconnect with aelite : Composable and Predictable Systems
Authors: ---
ISBN: 9781441968654 Year: 2011 Publisher: New York, NY Springer New York

Loading...
Export citation

Choose an application

Bookmark

Abstract


Digital
Memory Controllers for Real-Time Embedded Systems : Predictable and Composable Real-Time Systems
Authors: ---
ISBN: 9781441982070 Year: 2011 Publisher: New York, NY Springer New York

Loading...
Export citation

Choose an application

Bookmark

Abstract


Digital
Debugging Systems-on-Chip : Communication-centric and Abstraction-based Techniques
Authors: ---
ISBN: 9783319062426 Year: 2014 Publisher: Cham Springer International Publishing

Loading...
Export citation

Choose an application

Bookmark

Abstract

This book describes an approach and supporting infrastructure to facilitate debugging the silicon implementation of a System-on-Chip (SOC), allowing its associated product to be introduced into the market more quickly.  Readers learn step-by-step the key requirements for debugging a modern, silicon SOC implementation, nine factors that complicate this debugging task, and a new debug approach that addresses these requirements and complicating factors.  The authors’ novel communication-centric, scan-based, abstraction-based, run/stop-based (CSAR) debug approach is discussed in detail, showing how it helps to meet debug requirements and address the nine, previously identified factors that complicate debugging silicon implementations of SOCs. The authors also derive the debug infrastructure requirements to support debugging of a silicon implementation of an SOC with their CSAR debug approach. This debug infrastructure consists of a generic on-chip debug architecture, a configurable automated design-for-debug flow to be used during the design of an SOC, and customizable off-chip debugger software. Coverage includes an evaluation of the efficiency and effectiveness of the CSAR approach and its supporting infrastructure, using six industrial SOCs and an illustrative, example SOC model.  The authors also quantify the hardware cost and design effort to support their approach.   • Describes trends in embedded system design that make the design of SOCs complex and error-prone; • Analyzes four key requirements for debugging a modern, silicon SOC implementation and identifies nine factors that complicate meeting these debug requirements; • Uses communication control for debugging SOCs, which can be used with most on-chip SOC communication protocols in use today; • Uses communication control to (re)create a particular transaction order and demonstrates that this is helpful in the localization of errors in a SOC implementation; • Demonstrates the necessity of extracting locally- and globally-consistent states during SOC debug and guarantees by design that they are so; • Uses a fast and scalable event distribution interconnect, which connects on-chip monitors and protocol specific instruments); • Evaluates benefits and costs of the CSAR approach using six industrial SOC designs and an example SOC model.


Book
On-Chip Interconnect with aelite
Authors: --- ---
ISBN: 9781441968654 Year: 2011 Publisher: New York, NY Springer New York

Loading...
Export citation

Choose an application

Bookmark

Abstract

On-Chip Interconnect with aelite: Composable and Predictable Systems by: (Authors) Andreas Hansson Kees Goossens Embedded systems are comprised of components integrated on a single circuit, a System on Chip (SoC). One of the critical elements of such an SoC, and the focus of this work, is the on-chip interconnect that enables different components to communicate with each other. The book provides a comprehensive description and implementation methodology for the Philips/NXP Aethereal/aelite Network-on-Chip (NoC). The presentation offers a systems perspective, starting from the system requirements and deriving and describing the resulting hardware architectures, embedded software, and accompanying design flow. Readers get an in depth view of the interconnect requirements, not centered only on performance and scalability, but also the multi-faceted, application-driven requirements, in particular composability and predictability. The book shows how these qualitative requirements are implemented in a state-of-the-art on-chip interconnect, and presents the realistic, quantitative costs. ¢Uses real-world illustrations extensively, in the form of case studies and examples that communicate the power of the methods presented; ¢Uses one consistent, running example throughout the book. This example is introduced in the introductory chapter and supports the presentation throughout the work, with additional details given in each chapter; ¢Content has both breadth (architecture, resource allocation, hardware/software instantiation, formal verification) and depth (block-level architecture description, allocation algorithms, complete run-time APIs, detailed formal models, complete case studies mapped to FPGAs); ¢Includes numerous case studies, e.g. a JPEG decoder, set-top box and digital radio design.


Book
Memory Controllers for Real-Time Embedded Systems
Authors: --- ---
ISBN: 9781441982070 Year: 2011 Publisher: New York, NY Springer New York

Loading...
Export citation

Choose an application

Bookmark

Abstract

  Verification of real-time requirements in systems-on-chip becomes more complex as more applications are integrated. Predictable and composable systems can manage the increasing complexity using formal verification and simulation.  This book explains the concepts of predictability and composability and shows how to apply them to the design and analysis of a memory controller, which is a key component in any real-time system. This book is generally intended for readers interested in Systems-on-Chips with real-time applications.   It is especially well-suited for readers looking to use SDRAM memories in systems with hard or firm real-time requirements. There is a strong focus on real-time concepts, such as predictability and composability, as well as a brief discussion about memory controller architectures for high-performance computing. Readers will learn step-by-step how to go from an unpredictable SDRAM memory, offering highly variable bandwidth and latency, to a predictable and composable shared memory, providing guaranteed bandwidth and latency to isolated applications. This journey covers concepts for making memories and arbiters behave in a predictable and composable manner, as well as architecture descriptions of hardware blocks that implement the concepts. Provides an overview of trends in embedded system design that make design of real-time SoCs difficult, error-prone, and expensive; Introduces the concept of predictability, which is required for formal verification of real-time systems; Introduces the concept of composability, which is a divide and conquer technique that enables performance verification per application, instead of monolithic verification for all applications together; Describes a novel approach to composability, which applies to any predictable shared resource, thus widely extending the scope of composable platforms. This is the first approach that can efficiently support SDRAM, which is an essential system component; Provides an overview of the SDRAM architecture at a level that is relevant for system designers, not memory designers, and explains why SDRAM architectures are difficult to use in real-time systems; Describes concepts, architectures, implementation and worst-case performance analysis of predictable SDRAM accesses, as well as predictable and composable memory arbitration, which can be applied to all memory types


Book
Memory Controllers for Mixed-Time-Criticality Systems : Architectures, Methodologies and Trade-offs
Authors: --- --- ---
ISBN: 3319320939 3319320947 Year: 2016 Publisher: Cham : Springer International Publishing : Imprint: Springer,

Loading...
Export citation

Choose an application

Bookmark

Abstract

This book discusses the design and performance analysis of SDRAM controllers that cater to both real-time and best-effort applications, i.e. mixed-time-criticality memory controllers. The authors describe the state of the art, and then focus on an architecture template for reconfigurable memory controllers that addresses effectively the quickly evolving set of SDRAM standards, in terms of worst-case timing and power analysis, as well as implementation. A prototype implementation of the controller in SystemC and synthesizable VHDL for an FPGA development board are used as a proof of concept of the architecture template.

Listing 1 - 10 of 15 << page
of 2
>>
Sort by