Narrow your search

Library

KU Leuven (110)

Odisee (110)

Thomas More Kempen (110)

Thomas More Mechelen (110)

UCLL (110)

UGent (110)

ULB (110)

ULiège (110)

VIVES (110)

KBC (79)

More...

Resource type

book (110)


Language

English (110)


Year
From To Submit

2019 (110)

Listing 1 - 10 of 110 << page
of 11
>>
Sort by

Book
Harnessing Performance Variability in Embedded and High-performance Many/Multi-core Platforms : A Cross-layer Approach
Authors: ---
ISBN: 3319919628 331991961X Year: 2019 Publisher: Cham : Springer International Publishing : Imprint: Springer,

Loading...
Export citation

Choose an application

Bookmark

Abstract

This book describes the state-of-the art of industrial and academic research in the architectural design of heterogeneous, multi/many-core processors. The authors describe methods and tools to enable next-generation embedded and high-performance heterogeneous processors to confront cost-effectively the inevitable variations by providing Dependable-Performance: correct functionality and timing guarantees throughout the expected lifetime of a platform under thermal, power, and energy constraints. Various aspects of the reliability problem are discussed, at both the circuit and architecture level, the intelligent selection of knobs and monitors in multicore platforms, and systematic design methodologies. The authors demonstrate how new techniques have been applied in real case studies from different applications domain and report on results and conclusions of those experiments. Enables readers to develop performance-dependable heterogeneous multi/many-core architectures Describes system software designs that support high performance dependability requirements Discusses and analyzes low level methodologies to tradeoff conflicting metrics, i.e. power, performance, reliability and thermal management Includes new application design guidelines to improve performance dependability.


Book
Fundamentals of Modern Electric Circuit Analysis and Filter Synthesis : A Transfer Function Approach
Author:
ISBN: 3030024849 3030024830 Year: 2019 Publisher: Cham : Springer International Publishing : Imprint: Springer,

Loading...
Export citation

Choose an application

Bookmark

Abstract

This textbook explains the fundamentals of electric circuits and uses the transfer function as a tool to analyze circuits, systems, and filters. The author avoids the Fourier transform and three phase circuits, since these topics are often not taught in circuits courses. General transfer functions for low pass, high pass, band pass and band reject filters are demonstrated, with first order and higher order filters explained in plain language. The author’s presentation is designed to be accessible to a broad audience, with the concepts of circuit analysis explained in basic language, reinforced by numerous, solved examples. Uses a transfer function approach to circuit analysis, providing useful, pedagogical tips and notes; Expands on modern concepts, in order to use newly introduced topics for realistic applications; Includes numerous, solved and fully explained examples, as well as exercises at the end of each chapter.


Book
Recent Trends in Algebraic Development Techniques : 24th IFIP WG 1.3 International Workshop, WADT 2018, Egham, UK, July 2–5, 2018, Revised Selected Papers
Authors: ---
ISBN: 3030232204 3030232190 Year: 2019 Publisher: Cham : Springer International Publishing : Imprint: Springer,

Loading...
Export citation

Choose an application

Bookmark

Abstract

This book constitutes the thoroughly refereed post-conference proceedings of the 24th IFIP WG 1.3 International Workshop on Algebraic Development Techniques, WADT 2018, held in Egham, UK in July 2018. The 9 revised papers presented were carefully reviewed and selected from 13 submissions. The contributed presentations covered a range of topics: specification and modelling languages such as CASL, Event-B, Maude, MMT, and SRML; foundations of system specification such as graph transformation, categorical semantics, fuzzy and temporal logics, institutions, module systems and parameterization, refinement, static analysis, and substitutions; and applications including categorical programming, communicating finite state machines, neuralsymbolic integration, relational databases, and service-oriented computing.


Book
Beyond-CMOS Technologies for Next Generation Computer Design
Authors: ---
ISBN: 3319903853 3319903845 Year: 2019 Publisher: Cham : Springer International Publishing : Imprint: Springer,

Loading...
Export citation

Choose an application

Bookmark

Abstract

This book describes the bottleneck faced soon by designers of traditional CMOS devices, due to device scaling, power and energy consumption, and variability limitations. This book aims at bridging the gap between device technology and architecture/system design. Readers will learn about challenges and opportunities presented by “beyond-CMOS devices” and gain insight into how these might be leveraged to build energy-efficient electronic systems. Provides an overview of CMOS scaling challenges and motivation for considering “beyond-CMOS devices;” Discusses challenges posed by beyond-CMOS integration; Sheds light on how device architecture and systems should be designed differently leveraging beyond-CMOS device technologies.


Book
Software Engineering : Proceedings of CSI 2015
Authors: --- --- ---
ISBN: 9811088489 9811088470 Year: 2019 Publisher: Singapore : Springer Singapore : Imprint: Springer,

Loading...
Export citation

Choose an application

Bookmark

Abstract

This book presents selected proceedings of the annual convention of the Computer Society of India. Divided into 10 topical volumes, the proceedings present papers on state-of-the-art research, surveys, and succinct reviews. They cover diverse topics ranging from communications networks to big data analytics, and from system architecture to cyber security. This book focuses on Software Engineering, and informs readers about the state of the art in software engineering by gathering high-quality papers that represent the outcomes of consolidated research and innovations in Software Engineering and related areas. In addition to helping practitioners and researchers understand the chief issues involved in designing, developing, evolving and validating complex software systems, it provides comprehensive information on developing professional careers in Software Engineering. It also provides insights into various research issues such as software reliability, verification and validation, security and extensibility, as well as the latest concepts like component-based development, software process models, process-driven systems and human-computer collaborative systems.


Book
Advanced HDL Synthesis and SOC Prototyping : RTL Design Using Verilog
Author:
ISBN: 9811087768 981108775X Year: 2019 Publisher: Singapore : Springer Singapore : Imprint: Springer,

Loading...
Export citation

Choose an application

Bookmark

Abstract

This book describes RTL design using Verilog, synthesis and timing closure for System On Chip (SOC) design blocks. It covers the complex RTL design scenarios and challenges for SOC designs and provides practical information on performance improvements in SOC, as well as Application Specific Integrated Circuit (ASIC) designs. Prototyping using modern high density Field Programmable Gate Arrays (FPGAs) is discussed in this book with the practical examples and case studies. The book discusses SOC design, performance improvement techniques, testing and system level verification, while also describing the modern Intel FPGA/XILINX FPGA architectures and their use in SOC prototyping. Further, the book covers the Synopsys Design Compiler (DC) and Prime Time (PT) commands, and how they can be used to optimize complex ASIC/SOC designs. The contents of this book will be useful to students and professionals alike.


Book
Stochastic Computing: Techniques and Applications
Authors: ---
ISBN: 3030037304 3030037290 Year: 2019 Publisher: Cham : Springer International Publishing : Imprint: Springer,

Loading...
Export citation

Choose an application

Bookmark

Abstract

This book covers the history and recent developments of stochastic computing. Stochastic computing (SC) was first introduced in the 1960s for logic circuit design, but its origin can be traced back to von Neumann's work on probabilistic logic. In SC, real numbers are encoded by random binary bit streams, and information is carried on the statistics of the binary streams. SC offers advantages such as hardware simplicity and fault tolerance. Its promise in data processing has been shown in applications including neural computation, decoding of error-correcting codes, image processing, spectral transforms and reliability analysis. There are three main parts to this book. The first part, comprising Chapters 1 and 2, provides a history of the technical developments in stochastic computing and a tutorial overview of the field for both novice and seasoned stochastic computing researchers. In the second part, comprising Chapters 3 to 8, we review both well-established and emerging design approaches for stochastic computing systems, with a focus on accuracy, correlation, sequence generation, and synthesis. The last part, comprising Chapters 9 and 10, provides insights into applications in machine learning and error-control coding.


Book
Quick Start Guide to Verilog
Author:
ISBN: 3030105520 3030105512 Year: 2019 Publisher: Cham : Springer International Publishing : Imprint: Springer,

Loading...
Export citation

Choose an application

Bookmark

Abstract

This textbook provides a starter’s guide to Verilog, to be used in conjunction with a one-semester course in Digital Systems Design, or on its own for readers who only need an introduction to the language. This book is designed to match the way the material is actually taught in the classroom. Topics are presented in a manner which builds foundational knowledge before moving onto advanced topics. The author has designed the presentation with learning goals and assessment at its core. Each section addresses a specific learning outcome that the student should be able to “do” after its completion. The concept checks and exercise problems provide a rich set of assessment tools to measure student performance on each outcome. Written the way the material is taught, enabling a bottom-up approach to learning which culminates with a high-level of learning, with a solid foundation; Emphasizes examples from which students can learn: contains a solved example for nearly every section in the book; Includes more than 200 exercise problems, as well as concept check questions for each section, tied directly to specific learning outcomes.


Book
Introduction to Logic Circuits & Logic Design with VHDL
Author:
ISBN: 3030124894 3030124886 Year: 2019 Publisher: Cham : Springer International Publishing : Imprint: Springer,

Loading...
Export citation

Choose an application

Bookmark

Abstract

This textbook introduces readers to the fundamental hardware used in modern computers. The only pre-requisite is algebra, so it can be taken by college freshman or sophomore students or even used in Advanced Placement courses in high school. This book presents both the classical approach to digital system design (i.e., pen and paper) in addition to the modern hardware description language (HDL) design approach (computer-based). This textbook enables readers to design digital systems using the modern HDL approach while ensuring they have a solid foundation of knowledge of the underlying hardware and theory of their designs. This book is designed to match the way the material is actually taught in the classroom. Topics are presented in a manner which builds foundational knowledge before moving onto advanced topics. The author has designed the content with learning goals and assessment at its core. Each section addresses a specific learning outcome that the learner should be able to “do” after its completion. The concept checks and exercise problems provide a rich set of assessment tools to measure learner performance on each outcome. This book can be used for either a sequence of two courses consisting of an introduction to logic circuits (Chapters 1-7) followed by logic design (Chapters 8-13) or a single, accelerated course that uses the early chapters as reference material. Written the way the material is taught, enabling a bottom-up approach to learning which culminates with a high-level of learning, with a solid foundation; Emphasizes examples from which students can learn: contains a solved example for nearly every section in the book; Includes more than 600 exercise problems, as well as concept check questions for each section, tied directly to specific learning outcomes.


Book
Machine Learning in VLSI Computer-Aided Design
Authors: --- ---
ISBN: 3030046664 3030046656 Year: 2019 Publisher: Cham : Springer International Publishing : Imprint: Springer,

Loading...
Export citation

Choose an application

Bookmark

Abstract

This book provides readers with an up-to-date account of the use of machine learning frameworks, methodologies, algorithms and techniques in the context of computer-aided design (CAD) for very-large-scale integrated circuits (VLSI). Coverage includes the various machine learning methods used in lithography, physical design, yield prediction, post-silicon performance analysis, reliability and failure analysis, power and thermal analysis, analog design, logic synthesis, verification, and neuromorphic design. Provides up-to-date information on machine learning in VLSI CAD for device modeling, layout verifications, yield prediction, post-silicon validation, and reliability; Discusses the use of machine learning techniques in the context of analog and digital synthesis; Demonstrates how to formulate VLSI CAD objectives as machine learning problems and provides a comprehensive treatment of their efficient solutions; Discusses the tradeoff between the cost of collecting data and prediction accuracy and provides a methodology for using prior data to reduce cost of data collection in the design, testing and validation of both analog and digital VLSI designs. From the Foreword As the semiconductor industry embraces the rising swell of cognitive systems and edge intelligence, this book could serve as a harbinger and example of the osmosis that will exist between our cognitive structures and methods, on the one hand, and the hardware architectures and technologies that will support them, on the other….As we transition from the computing era to the cognitive one, it behooves us to remember the success story of VLSI CAD and to earnestly seek the help of the invisible hand so that our future cognitive systems are used to design more powerful cognitive systems. This book is very much aligned with this on-going transition from computing to cognition, and it is with deep pleasure that I recommend it to all those who are actively engaged in this exciting transformation. Dr. Ruchir Puri, IBM Fellow, IBM Watson CTO & Chief Architect, IBM T. J. Watson Research Center.

Listing 1 - 10 of 110 << page
of 11
>>
Sort by